Українською
  In English
Feed aggregator
Vishay Intertechnology 600 V Standard and 60 V to 200 V TMBS Rectifiers Deliver High Current Ratings to 9 A in DFN33A Package
Featuring Low 0.88 mm Profile and Wettable Flanks, Space-Saving Devices Provide Improved Thermal Performance and Efficiency
Vishay Intertechnology, Inc. introduced 27 standard and Trench MOS Barrier Schottky (TMBS) surface-mount rectifiers in the low profile DFN33A package with wettable flanks. Providing space-saving, high efficiency solutions for commercial, industrial, telecom, and automotive applications, the standard devices are the industry’s first in this package size and provide current ratings up to 6 A, while the TMBS devices deliver industry-best current ratings up to 9 A. Offering a wide range of voltage options from 60 V to 200 V for TMBS and up to 600 V for standard rectifiers, the devices are available in Automotive Grade, AEC-Q101 qualified versions.
The latest package in Vishay’s Power DFN family, the DFN33A features a compact 3.3 mm by 3.3 mm footprint and an extremely low typical height of 0.88 mm, allowing the Vishay General Semiconductor rectifiers released today to make more efficient use of PCB space. Compared to the conventional SMB (DO-214AA) and eSMP series SMPA (DO-220AA), the package’s size is 44 % and 20 % smaller, respectively. In addition, the device’s low profile is 2.6x thinner than the SMB (DO-214AA) and SMC, and 7 % thinner than the SMPA (DO-220AA). At the same time, the rectifiers’ optimized copper mass design and advanced die placement technology allow for superior thermal performance that enables operation at higher current ratings.
The devices are intended for low voltage, high frequency inverters, DC/DC converters, freewheeling diodes, and polarity and rail to rail protection in hot swap circuits for baseband antennas and power over Ethernet (PoE) for switches, routers, and optical network equipment. For these applications, the rectifiers offer high temperature operation up to +175 °C, while their exceptionally low forward voltage drop and low leakage current enhance design efficiency. The wettable flanks of their DFN33A package allow for automatic optical inspection, eliminating the need for an X-ray inspection.
Ideal for automated placement, the rectifiers offer an MSL moisture sensitivity level of 1, per J-STD-020, LF maximum peak of 260 °C. The devices are RoHS-compliant and halogen-free, and their matte tin-plated leads meet the JESD 201 class 2 whisker test.
The post Vishay Intertechnology 600 V Standard and 60 V to 200 V TMBS Rectifiers Deliver High Current Ratings to 9 A in DFN33A Package appeared first on ELE Times.
I think my cap is bad.
![]() | submitted by /u/knw_a-z_0-9_a-z [link] [comments] |
Polar to license Renesas’ GaN-on-Si technology and onshore commercial fabrication of 650V-class devices on 200mm wafers
SEMI Silicon Photonics Industry Alliance launches three Special Interest Groups to set out technology roadmap
EEVblog 1680 - Free Energy from the Earth's Magnetic Field?
3D printed digital night vision
![]() | This was a project that I worked on a couple months ago that was really fun and cool, I followed it on YouTube if you look up adhd engineer. (Black was the first version) [link] [comments] |
FINALLY! I made this 555 Timer using a Nor IC and an Op-Amp(used as comparators)
![]() | submitted by /u/White_Septendecim [link] [comments] |
Photo tachometer sensor accommodates ambient light

Tachometry, the measurement of the speed of spin of rotating objects, is a common application. Some of those objects, however, have quirky aspects that make them extra interesting, even scary. One such category includes outdoor noncontact sensing of large, fast, and potentially hazardous objects like windmills, waterwheels, and aircraft propellers. The tachometer peripheral illustrated in Figure 1 implements optical sensing using available ambient light that provides a logic-level signal to a microcontroller digital input and is easily adaptable to different light levels and mechanical contexts.
Figure 1 Logarithmic contrast detection accommodates several decades of variability in available illumination.
Wow the engineering world with your unique design: Design Ideas Submission Guide
Safe sensing of large rotating objects is best done from a safe (large) distance and passive available-light optical methods are the obvious solution. Unless elaborate lens systems are used in front of the detector, the optical signal is apt to have a relatively low-amplitude due to the tendency of the rotating object (propeller blade, etc.) to fill only a small fraction of the field of view of simple detectors. This tachometer (Figure 1) makes do with an uncomplicated detector (phototransistor Q1 with a simple light shield) by following the detector with a high-gain, AC coupled, logarithmic, threshold detector.
Q1’s photocurrent produces a signal across Q2 and Q3 that varies by ~500 µV pp for every 1% change in incident light intensity that’s roughly (e.g. neglecting various tempcos) given by:
V ~ 0.12 log10(Iq1/Io)
Io ~ 10 fA
This approximate log relationship works over a range of nanoamps to milliamps of photocurrent and is therefore able to provide reliable circuit operation despite several orders of magnitude variation in available light intensity. A1 and the surrounding discrete components comprise high gain (80 dB) amplification that presents a 5-Vpp square-wave to the attached microcontroller DIO pin.
Programming of the I/O pin internal logic for pulse counting allows a simple software routine to divide the accumulated count by the associated time interval and by the number of counted optical features of the rotating object (e.g., number of blades on the propeller) to produce an accurate RPM reading.
Stephen Woodward’s relationship with EDN’s DI column goes back quite a long way. Over 100 submissions have been accepted since his first contribution back in 1974.
Related Content
- Analyze mechanical measurements with digitizers and software
- Monitoring, control, and protection options in DC fans for air cooling
- Motor controller operates without tachometer feedback
- Small Tachometer
- Sparkplug Wire Sensor & Digital Tachometer – Getting Started
The post Photo tachometer sensor accommodates ambient light appeared first on EDN.
Navitas gains automotive qualification of high-power GaNSafe ICs
Infineon introduces new generation of powerful and energy- efficient IGBT and RC-IGBT devices for electric vehicles
The market for electric vehicles continues to gather pace with a strong volume growth of both battery electric vehicles and plug-in hybrid electric vehicles. The share of electric vehicles produced is expected to see double-digit growth by 2030 with a share of around 45 percent compared to 20 percent in 2024. Infineon Technologies AG is responding to the growing demand for high-voltage automotive IGBT chips by launching a new generation of products. Among these offerings are the EDT3 (Electric Drive Train, 3rd generation) chips, designed for 400 V and 800 V systems, and the RC-IGBT chips, tailored specifically for 800 V systems. These devices enhance the performance of electric drivetrain systems, making them particularly suitable for automotive applications.
The EDT3 and RC-IGBT bare dies have been engineered to deliver high-quality and reliable performance, empowering customers to create custom power modules. The new generation EDT3 represents a significant advancement over the EDT2, achieving up to 20 percent lower total losses at high loads while maintaining efficiency at low loads. This achievement is due to optimizations that minimize chip losses and increase the maximum junction temperature, balancing high-load performance and low-load efficiency. As a result, electric vehicles using EDT3 chips achieve an extended range and reduce energy consumption, providing a more sustainable and cost-effective driving experience.
“Infineon, as a leading provider of IGBT technology, is committed to delivering outstanding performance and reliability”, says Robert Hermann, Vice President for Automotive High Voltage Chips and Discretes at Infineon Technologies. “Leveraging our steadfast dedication to innovation and decarbonization, our EDT3 solution enables our customers to attain ideal results in their applications.”
The EDT3 chipsets, which are available in 750 V and 1200 V classes, deliver high output current, making them well-suited for main inverter applications in a diverse range of electric vehicles, including battery electric vehicles, plug-in hybrid electric vehicles, and range-extended electric vehicles. Their reduced chip size and optimized design facilitate the creation of smaller modules, consequently leading to lower overall system costs. Moreover, with a maximum virtual junction temperature of 185°C and a maximum collector-emitter voltage rating of up to 750 V and 1200 V, these devices are well-suited for high-performance applications, enabling automakers to design more efficient and reliable powertrains that can help extend driving range and reduce emissions.
“Infineon, as Leadrive’s primary IGBT chip supplier and partner, consistently provides us with innovative solutions that deliver system-level benefits,” said Dr. Ing. Jie Shen, Founder and General Manager of Leadrive. “The latest EDT3 chips have optimized losses and loss distribution, support higher operating temperatures, and offer multiple metallization options. These features not only reduce the silicon area per ampere, but also accelerate the adoption of advanced packaging technologies.”
The 1200 V RC-IGBT elevates performance by integrating IGBT and diode functions on a single die, delivering an even higher current density compared to separate IGBT and diode chipset solutions. This advancement translates into a system cost benefit, attributed to the increased current density, scalable chip size, and reduced assembly effort.
Infineon’s latest EDT3 IGBT chip technology is now integrated into the HybridPACK Drive G2 automotive power module, delivering enhanced performance and capabilities across the module portfolio. This module offers a power range of up to 250 kW within the 750 V and 1200 V classes, enhanced ease of use, and new features such as an integration option for next-generation phase current sensors and on-chip temperature sensing, contributing to system cost improvements.
All chip devices are offered with customized chip layouts, including on-chip temperature and current sensors.
The post Infineon introduces new generation of powerful and energy- efficient IGBT and RC-IGBT devices for electric vehicles appeared first on ELE Times.
Small full adder with N channel FETs
![]() | Made a full adder with CSD15380F3 N channel FETs and 0402 resistors. I probably won't actually get it made. [link] [comments] |
Diodes Inc adds 650V SiC Schottky diodes with low figure-of-merit
NUBURU announces strategic corporate update focused on defense & security, advanced technologies, and growth initiatives
How NoC architecture solves MCU design challenges

Microcontrollers (MCUs) have undergone a remarkable transformation, evolving from basic controllers into specialized processing units capable of handling increasingly complex tasks. Once confined to simple command execution, they now support diverse functions that require rapid decision-making, heightened security, and low-power operation.
Their role has expanded across industries, from managing complex control systems in industrial automation to supporting safety-critical vehicle applications and power-efficient operations in connected devices.
As MCUs take on greater workloads, the conventional bus-based interconnects that once sufficed now limit performance and scalability. Adding artificial intelligence (AI) accelerators, machine learning technology, reconfigurable logic, and secure processing elements demands a more advanced on-chip communication infrastructure.
To meet these needs, designers are adopting network-on-chip (NoC) architectures, which provide a structured approach to data movement, alleviating congestion and optimizing power efficiency. Compared to traditional crossbar-based interconnects, NoCs reduce routing congestion through packetization and serialization, enabling more efficient data flow while reducing wire count.
This is how efficient packetization works in network-on-chip (NoC) communications. Source: Arteris
MCU vendors adopt NoC interconnect
Many MCU vendors relied on proprietary interconnect solutions for years, evolving from basic crossbars to custom in-house NoC implementations. However, increasing design complexity encompassing AI/ML integration, security requirements, and real-time processing has made these solutions costly and challenging to maintain.
Moreover, as advanced packaging techniques and die-to-die interconnects become more common, maintaining in-house interconnects has grown increasingly complex, requiring constant updates for new communication protocols and power management strategies.
To address these challenges, many vendors are transitioning to commercial NoC solutions that offer pre-validated scalability and significantly reduce development overhead. For an engineer designing an AI-driven MCU, an NoC’s ability to streamline communication between accelerators and memory can dramatically impact system efficiency.
Another major driver of this transition is power efficiency. Unlike general-purpose systems-on-chip (SoCs), many MCUs must function within strict power constraints. Advanced NoC architectures enable fine-grained power control through power domain partitioning, clock gating, and dynamic voltage and frequency scaling (DVFS), optimizing energy use while maintaining real-time processing capabilities.
Optimizing performance with NoC architectures
The growing number of heterogeneous processing elements has placed unprecedented demands on interconnect architectures. NoC technology addresses these challenges by offering a scalable, high-performance alternative that reduces routing congestion, optimizes power consumption, and enhances data flow management. NoC enables efficient packetized communication, minimizes wire count, and simplifies integration with diverse processing cores, making it well-suited for today’s MCU requirements.
By structuring data movement efficiently, NoCs eliminate interconnect bottlenecks, improving responsiveness and reducing die area. So, the NoC-based designs achieve up to 30% higher bandwidth efficiency than traditional bus-based architectures, improving overall performance in real-time systems. This enables MCU designers to achieve higher bandwidth efficiency and simplify integration, ensuring their architectures remain adaptable for advanced applications in automotive, industrial, and enterprise computing markets.
Beyond enhancing interconnect efficiency, NoC architectures support multiple topologies, such as mesh and tree configurations, to ensure low-latency communication across specialized processing cores. Their scalable design optimizes interconnect density while minimizing congestion, allowing MCUs to handle increasingly complex workloads. NoCs also improve power efficiency through modularity, dynamic bandwidth allocation, and serialization techniques that reduce wire count.
By implementing advanced serialization, NoC architectures can reduce the number of interconnect wires by nearly 50%, as shown in the above figure, lowering overall die area and reducing power consumption without sacrificing performance. These capabilities enable MCUs to sustain high performance while balancing power constraints and minimizing die area, making NoC solutions essential for next-generation designs requiring real-time processing and efficient data flow.
In addition to improving scalability, NoCs enhance safety with features that help toward achieving ISO 26262 and IEC 61508 compliance. They provide deterministic communication, automated bandwidth and latency adjustments, and built-in deadlock avoidance mechanisms. This reduces the need for extensive manual configuration while ensuring reliable data flow in safety-critical applications.
Interconnects for next-generation MCUs
As MCU workloads grow in complexity, NoC architectures have become essential for managing high-bandwidth, real-time automation, and AI inference-driven applications. Beyond improving data transfer efficiency, NoCs address power management, deterministic communication, and compliance with functional safety standards, making them a crucial component in next-generation MCUs.
To meet increasing integration demands, ranging from AI acceleration to stringent power and reliability constraints, MCU vendors are shifting toward commercial NoC solutions that streamline system design. Automated pipelining, congestion-aware routing, and configurable interconnect frameworks are now key to reducing design complexity while ensuring scalability and long-term adaptability.
Today’s NoC architectures optimize timing closure, minimize wire count, and reduce die area while supporting high-bandwidth, low-latency communication. These NoCs offer a flexible approach, ensuring that next-generation architectures can efficiently handle new workloads and comply with evolving industry standards.
Andy Nightingale, VP of product management and marketing at Arteris, has over 37 years of experience in the high-tech industry, including 23 years in various engineering and product management positions at Arm.
Related Content
- SoC Interconnect: Don’t DIY!
- What is the future for Network-on-Chip?
- Why verification matters in network-on-chip (NoC) design
- SoC design: When is a network-on-chip (NoC) not enough
- Network-on-chip (NoC) interconnect topologies explained
The post How NoC architecture solves MCU design challenges appeared first on EDN.
India Aims to Capture 10% of Global Chip Demand by 2030
India is accelerating its semiconductor ambitions, aiming to secure 10% of global chip demand by 2030. Central to this strategy is the India Semiconductor Mission (ISM), launched in December 2022 with an allocation of ₹76,000 crore, designed to foster a sustainable semiconductor ecosystem and reduce reliance on imports.
In a significant development, Tata Electronics and Taiwan’s PSMC have announced a joint venture to establish India’s first 12-inch wafer fabrication facility in Dholera, Gujarat. With an investment of $11 billion, this project is expected to generate over 20,000 jobs and focus on manufacturing power management ICs, display driver ICs, microcontrollers, and high-performance computing logic components.
The 2025 budget reflects the government’s commitment, doubling the allocation for chip initiatives to ₹2,499.96 crore for FY26. This includes increased funding for compound semiconductors, sensors, and chip assembly/testing units, with a 56% rise to ₹3,900 crore, and nearly doubling the allocation for the design-linked incentive (DLI) to ₹200 crore.
Strategic partnerships with countries like Singapore, the US, Japan, and Taiwan are also being pursued to enhance technology transfer, skill development, and foreign direct investment. These efforts position India as a key player in the global semiconductor supply chain, aligning with the ‘China Plus One’ strategy amid evolving geopolitical dynamics.
The post India Aims to Capture 10% of Global Chip Demand by 2030 appeared first on ELE Times.
Cost of Semiconductor Chips per Vehicle to Double by 2030: NITI Aayog
According to a recent report by NITI Aayog, the average cost of semiconductor chips in vehicles is projected to rise from $600 to $1,200 by 2030. This increase is attributed to the growing integration of advanced technologies in automobiles, such as electric powertrains, Advanced Driver Assistance Systems (ADAS), Internet of Things (IoT) connectivity, and autonomous driving capabilities. As vehicles become more connected and intelligent, the demand for sophisticated semiconductor chips escalates, leading to higher costs.
This trend signifies a broader transformation in the automotive industry, shifting from traditional fuel-based vehicles to electric vehicles (EVs) equipped with next-generation features. The incorporation of these technologies necessitates more complex and expensive semiconductor components, thereby increasing the overall cost per vehicle. The report underscores the pivotal role of electronics and semiconductors in modern vehicles, highlighting the need for the automotive sector to adapt to these technological advancements.
As India positions itself as a significant player in the global semiconductor market, this development presents both challenges and opportunities. The rising demand for high-tech components in vehicles underscores the importance of strengthening domestic semiconductor manufacturing capabilities to meet future needs.
The post Cost of Semiconductor Chips per Vehicle to Double by 2030: NITI Aayog appeared first on ELE Times.
US Tariffs Could Cost Chip Equipment Makers Over $1 Billion Annually
U.S. semiconductor equipment manufacturers are facing potential annual losses exceeding $1 billion due to new tariffs proposed by President Donald Trump’s administration. Major companies like Applied Materials, Lam Research, and KLA could each incur losses of approximately $350 million, while smaller firms such as Onto Innovation may also experience significant financial impacts.
These projected losses stem from anticipated declines in overseas sales of less advanced equipment, increased costs from sourcing alternative components, and expenses related to tariff compliance. The Trump administration has temporarily paused previously announced reciprocal tariffs but is considering further actions to promote domestic manufacturing, including initiating an import investigation.
This development adds to the challenges already faced by the industry following former President Biden’s export controls aimed at limiting advanced chip manufacturing in China, which have prompted China to bolster its domestic semiconductor capabilities. Industry representatives have been in discussions with U.S. officials, emphasizing the need to consider the broader implications of these tariffs on the global semiconductor supply chain.
The post US Tariffs Could Cost Chip Equipment Makers Over $1 Billion Annually appeared first on ELE Times.
ST reshaping manufacturing footprint to invest in 300mm silicon, 200mm SiC, and technology R&D
Turning on this circuit
![]() | submitted by /u/Friendly-Eye-1933 [link] [comments] |
Aftermarket drone remote ID: Let’s see what’s inside thee

The term “aftermarket” finds most frequent use, in my experience, in describing hardware bought by owners to upgrade vehicles after they initially leave the dealer lot: audio system enhancements, for example, or more powerful headlights. But does it apply equally to drone accessories? Sure (IMHO, of course). For what purposes? Here’s what I wrote last October:
Regardless of whether you fly recreationally or not, you also often (but not always) need to register your drone(s), at $5 per three-year timespan (per-drone for commercial operators, or as a lump sum for your entire drone fleet for recreational flyers). You’ll receive an ID number which you then need to print out and attach to the drone(s) in a visible location. And, as of mid-September 2023, each drone also needs to (again, often but not always) support broadcast of that ID for remote reception purposes…
DJI, for example, firmware-retrofitted many (but not all) of its existing drones with Remote ID broadcast capabilities, along with including Remote ID support in all (relevant; hold that thought for next time) new drones. Unfortunately, my first-generation Mavic Air wasn’t capable of a Remote ID retrofit, or maybe DJI just didn’t bother with it. Instead, I needed to add support myself via a distinct attached (often via an included Velcro strip) Remote ID broadcast module.
I’ll let you go back and read the original writeup to discern the details behind my multiple “often but not always” qualifiers in the previous two paragraphs, which factor into one of this month’s planned blog posts. But, as I also mentioned there, I ended up purchasing Remote ID broadcast modules from two popular device manufacturers (since “since embedded batteries don’t last forever, don’cha know”), Holy Stone and Ruko. And…
I also got a second Holy Stone module, since this seems to be the more popular of the two options) for future-teardown purposes.
The future is now; here’s a “stock” photo of the device we’ll be dissecting today, with dimensions of 1.54” x 1.18” x 0.51”/3.9 x 3 x 1.3 cm and a weight of 13.9 grams (14.2 grams total, including Velcro mounting strips) and a model number variously reported as 230218 and HSRID01:
Some outer box shots to start (I’ve saved you from boring photos of the blank sides):
And opening the box, its contents, with our victim in the middle, within a cushioned envelope:
At bottom is the user manual; I can’t find a digital copy of it on the Holy Stone support site, but Manuals+ hosts it in both HTML and PDF formats. You can also find this documentation (among other interesting info) on the FCC website; the FCC ID, believe it or not, is 2AJ55HOLYSTONEBM. At top is the Velcro mounting pair, also initially cushion-packaged (for unknown reasons):
And now, fully freed from its prior captivity, is our patient, as-usual accompanied by a 0.75″ (19.1 mm) diameter U.S. penny for size comparison purposes (once again, I’ve intentionally saved you from exposure to boring blank-side shots):
A note on this next one; the USB-C port shown is used to recharge the embedded battery:
Prior to disassembly, I plugged the device into my Google Pixel Buds Pro earbuds charging cable (which has USB-C connectors on both ends) to test charge functionality, but the left-side battery indicator LED on the front panel remained un-illuminated. That said, when I punched the device’s front panel power switch, it came to life. The result wasn’t definitive; the battery could have been precharged on the assembly line, with the charging circuitry inside still inoperable.
But, on a hunch, I then instead plugged it into the power cable for my Google Chromecast with Google TV, which has USB-A on the power-source end, and the charge-status LED lit up and began blinking, indicative of charging in progress. What’s with Chinese-sourced gear and its non-cognizance of USB Power Delivery negotiation protocols? The user manual shows and discusses an “original charging cable” with USB-A on one end which, had it actually been included as inferred, would have constrained the possible charging-source options. Just sayin’.
Speaking of “circuitry inside,” note the visible screw head at the bottom of this next shot:
That’s, I suspect, our pathway inside. Before we dive in, however, what should we expect to see there, circuitry-wise? Obviously there’s a battery, likely Li-ion in formulation, along with the aforementioned associated charging circuitry for it. There’s also bound to be some sort of system SoC, plus both volatile (RAM) and nonvolatile memory, the latter holding both the program code and user-programmable FAA-assigned Remote ID. Broadcast of that ID can occur over Bluetooth, Wi-Fi or both, via an accompanying antenna. And for geolocation purposes, there’ll need to be a GPS subsystem, comprising both another antenna and a receiver.
Now that the stage is set, let’s get inside, after both removing the previously shown screw and slicing through the serial number sticker on one side:
Voila:
The wire in the lower right corner is, I suspect, the wireless communications antenna. Given its elementary nature, along with the lack of mention of Wi-Fi in the product documentation, I’m guessing it’s Bluetooth-only. To its left is the square mostly-tan GPS antenna. In the middle is the multifunction switch (power cycling and user (re)configuration). Above it are the two LEDs, for power/charging status (left) and current operating mode (right).
And on both sides of it are Faraday cages, the lids of which we’ll need to rip off (hold that thought) before we can further investigate their contents.
The PCB subsequently lifts right out of the other (back) case half:
revealing the “pouch” battery adhesive-attached to the PCB’s other side:
Peel the battery away (revealing a near-blank PCB underneath).
Peel off the tape, and the battery specs (3.7V, 150mAh, 0.55Wh…why do battery manufacturers frequently feel the need to redundantly provide both of the latter two? Can’t folks multiply anymore?) come into view:
Back to the front of the PCB, post-removal of the two Faraday cages’ tops, as foreshadowed previously:
Now fully visible is the USB-C connector, alongside a rubberized ring that had been around it when fully assembled. As for what’s inside those now-mangled Faraday cages, let’s zoom in:
The landscape-dominant IC within the left-located Faraday cage, unsurprisingly given its GPS antenna proximity, is Bekin’s BK1661, a “fully integrated single-chip L1 GNSS [author note: Global Navigation Satellite System] solution” that, as the acronym infers, supports not only GPS L1 but “Beidou B1, Galileo E1, QZSS L1, and GLONASS G1,” for worldwide usage.
The one to the right, on the other hand, was a mystery (although, given its antenna proximity, I suspected it handled Bluetooth transceiver functionality, among other things) until I came across an enlightening Reddit discussion. The company logo mark on the top of the chip is a combination of the letters J and L. And the part number underneath it is:
BP0E950-21A4
Here’s an excerpt of the initial post in the Reddit discussion thread, titled “How to identify JieLi (JL/π) bluetooth chips”:
If you like to open things, particularly bluetooth audio devices, you may have seen chips from manufacturers like Qualcomm, Bestechnic (BES), Airoha, Vimicro WX, Beken, etc.; but cheaper devices have those mysterious chips marked with A3 or AB (from Bluetrum), or those with the JL or “pi” logo (from JieLi).
Bluetrum and JieLi chips have a printed code (like most IC chips), but those codes don’t match any results on Google or the manufacturer’s websites. Why does this happen? Well, it looks like the label on those chips is specific to the firmware they’re running, and there’s no way to know which chip it is exactly (unless the manufacturer of your bluetooth device displays that information somewhere on the package).
I was recently looking at the datasheet for some JieLi chips I have lying around, and noticed something interesting: on each chip the label is formatted like “abxxxxxxx-YYY”, “acxxxxx-YYYY” or similar, and the characters after the “-” look like they indicate part of the model number of the IC.
…
In conclusion, if you find a JL chip inside your device and the label does not show any results, use the last characters (the ones after the “-“) and add ac69 or ac63 at the beginning (those are the series of the chip, like AC69xx or AC63xx. There are more series that I don’t remember, so if those codes don’t work for you, try searching for others).
…
Also, if you find a chip with only one number before the letter in the character group after the “-“, add a 0 before it and then add a series code at the beginning. (For example: 5A8 -> 05A8 -> AC6905A)
By doing so you will probably find the pinout and datasheet of your bluetooth IC.
Based on the above, what I think we have here is the AC321A4 RISC-based microcontroller with Bluetooth support from Chinese company ZhuHai JieLi Technology. To give you an idea of how much (or, perhaps more accurately, little) it costs, consider the headline of an article I came across on a similar product from the same company, “JieLi Tech AC6329C4 is Another Low Cost MCU but with Bluetooth 5.0 Support.” Check out the price tag in the associated graphic:
That said, an AC6921A also exists from the company, although it seems to be primarily intended for stereo audio Bluetooth, so…
That’s what I’ve got for today, folks. Sound off in the comments with your thoughts!
—Brian Dipert is the Editor-in-Chief of the Edge AI and Vision Alliance, and a Senior Analyst at BDTI and Editor-in-Chief of InsideDSP, the company’s online newsletter.
Related Content
- The (more) modern drone: Which one(s) do I now own?
- LED headlights: Thank goodness for the bright(nes)s
- Drone regulation and electronic augmentation
- Google’s Chromecast with Google TV: Dissecting the HD edition
The post Aftermarket drone remote ID: Let’s see what’s inside thee appeared first on EDN.
Pages
