Feed aggregator

Went to the local hamfest- Lots of fun toys

Reddit:Electronics - 3 hours 18 min ago
Went to the local hamfest- Lots of fun toys

Went to a hamfest near me and found some fun toys. and numitrons

submitted by /u/shittyretrocomps
[link] [comments]

Walmart’s onn. full HD streaming device: Still not thick, just don’t call it a stick

EDN Network - 5 hours 11 min ago

A month back, I tore down Walmart’s onn. 4K Streaming Box, the Google TV-based successor to the company’s initial Android TV-based UHD Streaming Device that I’d dissected mid-last year. And as promised in last month’s coverage, this time I’ll be taking a look at the guts of its “stick” form factor sibling, the Google TV-based Full HD Streaming Device, the successor to the Android TV-based FHD streaming stick predecessor that went “under the knife” last December.

Device, stick, or box?

Read through those previous two sentences again and you might catch the meaning behind the “just don’t call it a stick” bit in this writeup’s title; similarly, you might get why last month I wrote:

Also, it’s now called a “box”, versus a “device”. Hold that latter thought until next month…

The word “device” seems to have inconsistent form factor association within Walmart. In the first-generation onn. product line, it referred to the “box”, with the rectangular form factor explicitly called a “stick”. This time around, the “stick” is the “device”, with the square form factor referred to as a “box” instead. Then again, as I mentioned last month, the first generation “box’s” UHD maximum output resolution is now instead referred to as “4K”, and similarly, the “stick” form factor has transitioned from “2K FHD” to “Full HD” in the product name, so…🤷‍♂️

Anyway…in last month’s piece, I pointed out the surprising-to-me commonality between the hardware in the two “box” generations’ designs. Will the same be the case with the two generations of “stick” devices? And as with Walmart’s “box” devices in comparison to the TiVo RA2400 Stream 4K, will I also encounter commonality between Walmart’s “sticks” and other manufacturers’ devices? There’s only one way to find out…let’s begin with a “stock” shot:

Unboxing the product

Now for the actual packaging of today’s patient, which set me back $14.88 in November 2023.

The joke never seems to get old, at least for me…you might disagree…

Open sesame:

It’s a box-within-a-box!

Flip open the top flap, and we get our first glimpse of the still-protected-by-plastic device inside, along with a sliver of literature (PDF here).

Here they are now freed from their cardboard captivity, as usual accompanied by a 0.75″ (19.1 mm) diameter U.S. penny for size comparison purposes:

Underneath are the AC power adapter, an HDMI extension cable, the remote control and a set of batteries for the latter:

Here’s a close-up of the AC power adapter’s micro-USB connector:

and its markings; interestingly, the max input current is higher than that for last month’s “box” PSU (0.25 A vs 0.2 A), although the output current specs are the same (1 A). I suspect that the input current variance is just efficiency-reflective of the sourcing deviation between the two PSUs, not of the respective systems’ actual power requirements. In fact, I’m expecting a lower-power-consumption SoC inside this time, along with decreased memory and the like.

Here are the “male” and “female” ends of the HDMI extension cable:

And here’s the battery compartment-exposed backside of the remote control, which appears to be identical to last month’s “box” remote:

The teardown

Now for our patient, with dimensions of 3.54 x 1.18 x 0.51 inches (90.5 x 30 x 13 mm), quite close to those of its Android TV-based precursor (3.81 x 1.39 x 0.61 inches). That said, there are some physical design variations between them:

  • No passive airflow vents either top or bottom this time, and
  • Last time there was no status LED included in the design, and the recessed reset switch and micro-USB power input were on opposite sides of the device. This time, the micro-USB power input is on one end (with the HDMI connector again on the other), and a status LED has been added, next to the reset switch.

A closeup of that last shot reveals, among other things, the FCC ID (2AYYS-8822K2VTG, and no, reminiscent of what I also said last month, I don’t know why there are 21 different FCC documents posted for this ID, either!).

Applying a spudger to the gap between the two case halves get them apart with damage to only one of the plastic tabs.

For orientation purposes, we’re looking at the inside of the top half of the device case, along with the top of the PCB (“top” and “bottom” being somewhat meaningless with a “stick” form factor, as I’ve noted before, but I’m going by where the brand logo is stamped on the case):

The PCB then lifts easily out of the remaining bottom case half.

Here’s the inside of the bottom half of the case, once again accompanied by the top of the PCB:

and now with the PCB flipped over to reveal its bottom side. Note, for example, the light guide (aka, light pipe, light tube) that, as with the one we saw last month, routes the output of the LED on the PCB (at bottom, to the right of the Faraday cage) to the outside world.

Speaking of Faraday cages, let’s flip back to the PCB topside and begin our disassembly. En route to that destination, here are snapshots of both sides:

The heat sink on top clung to the Faraday cage below it stubbornly finally relented in the face of my intense spudger attention.

The Faraday Cage itself was much less removal-resistant:

A look at the ICs

Focusing in proved to be…interesting, among other things (including initially frustrating).

The IC on the left was easy to ID, although the marking was faint (stay tuned for another photo where it’s clearer, courtesy of augmented lighting). It’s Amlogic’s S805X2, another in a long line of examples of onn. devices based on application processors from this supplier. The S805X2 was introduced in Q2 2020, and Wikipedia lumps it into the company’s fourth-generation product line in seeming contrast to the “2” end character in its product line. The “X”, as I explained last month and versus the “Y” version seen in that teardown, refers to its integration of wired Ethernet support, which is a bit curious, particularly for a “stick” form factor device, albeit not unique (note, for example, Ethernet over micro-USB on the Chromecast Ultra).

Versus the Amlogic S805Y-B seen in the Android TV-based “stick” predecessor, the S805X2 bumps up the quad-core Arm Cortex-A35 processor cluster’s clock speed from 1.5 GHz to 1.8 GHz (vs 2 GHz in the Amlogic S905Y4 seen last month, however), upgrades the GPU from the Mali-450MP to the Mali-G31 MP2, and (like last month’s S905Y4) adds decoding support for the AV1 video codec. And speaking of Chromecasts, I need to give credit where it’s due (the Reddit crowd) on this one; it’s essentially-to-exactly the same SoC found in the “HD” variant of Google’s Chromecast with Google TV. The only variance, for which I can’t find clarifying documentation, is that in this case it’s marked “S805X2-B” whereas the one in Google’s design is the “S805X2G”.

Move to the right and you’ll encounter another example of Chromecast with Google TV commonality…sort of. And this one caused me no shortage of teeth-gnashing until I eventually figured it out. Revisiting my last-December teardown of this device’s Android TV-based predecessor, you’ll find that it contains 1 GByte of system DRAM, comprised of two 4 Gbit memory devices. Last month’s “box” sibling, conversely, touts 2 GBytes of system DRAM, assembled from two 8 Gbit memories. I already knew from the product specs on Walmart’s website that this device embeds 1.5 GBytes of DRAM. And so, since I’d thought memory pretty much always is sold in binary-increment capacities (1, 2, 4, 8, 16…), I figured that as with the similarly 1.5 GByte-equipped Chromecast with Google TV HD Edition, I’d find the two-device combo of 8 Gbit and 4 Gbit memories inside.

Problem is, though, that after identifying the other two notable ICs in this design, which you’ll see next, I could only find one other chip: this one. And it’s marking were unlike any I’d ever seen before. Again, they’re quite faint under ambient light; I tried both a loupe and supplemental lighting to make at least the company logo clearer for both me and thee:

Here’s the four-line mark:

[COMPANY LOGO] ARTMEM
ATL4X12324
M102
325M10

Doing web searches for “ARTMEM”, “ATL4X12324” and the combination of the two got me…basically nothing. Eventually, however, I stumbled across an obscure page on MIT’s website that clued me in to the likely full company name, Artmem Technology. That website is totally            in Chinese, however, which didn’t help me at all. But after searching again on the full “Artmem Technology” phrase, I came across the website of another China-based semiconductor supplier, Rayson HI-Tech, which offers an English-language option and identifies Artmem as its subsidiary.

Progress! Diving further into Rayson’s website, specifically to the “Industrial/Automotive LPDDR4/4X” product page, I indeed found a 1.5 GByte product variant (along with other non-binary increment options…3 GBytes and 6 GBytes, specifically) with the following parameters:

  • Product model: RS384M32LX4D2BNR-53BT
  • Bit width: x32
  • Speed (presumably max, and operating voltage-dependent): 3733 Mbps
  • Encapsulation mode: FBGA 200-ball
  • (Operating) voltage: 1.8/1.1/0.6V
  • (Operating) temperature: 25-85°C)

I’m guessing this is our chip, with alternate (subsidiary) supplier branding. Is there an atypical 12 Gbit monolithic memory die inside that package? Or did the company combine more common 8 Gbit and 4 Gbit die side-by-side under a single package “lid”? Or was it a three-die 4 Gbit “stack”? Or did the supplier just “down-bin” a 16 Gbit die to come up with the 12 Gbit guaranteed capacity? I ran this mystery by my long-time colleague Jim Handy, semiconductor memory expert at market analyst firm Objective Analysis, and he had several insights:

  • Non-binary packaged unit capacities are more common than I’d realized, especially for LPDDR DRAM variants (which are also commonly spec’d in GByte vs Gbit densities)
  • His guess is that there’s a three-die “sandwich” inside, with each die 4 Gbit in capacity, likely sourced from CXMT and/or JHICC, the two major DRAM makers in China, and
  • The built-in translation support offered by Google’s Chrome browser works pretty well, judging from the screenshots of Artmem Technology’s English language auto-converted website that he sent me (I’m normally a Mozilla Firefox guy).

Please respond in the comments, readers, if you have additional informed insights on this!

The other notable IC—wireless module, to be precise, as you’ve probably already guessed from its antennas’ proximity—on this side of the PCB and to the right of the mystery DRAM, is much easier to ID. Like its predecessor in last December’s teardown, and unlike its sibling in last month’s teardown, it’s clearly marked on top. This is the 6222B-SRC from Fn-Link, containing a Realtek RTL8822CS Bluetooth-plus-Wi-Fi transceiver (which you can see in the internal photos on the FCC website). There was no separate (PCB-embedded or otherwise) Bluetooth antenna that I could see in this particular design, and Fn-Link’s documentation subsequently confirmed my suspicion that the module optionally supports multiplexing the 2.4-GHz Bluetooth and Wi-Fi functions on the same antenna:

Speaking of which, here are some closeups of those antennas:

Last, but not least, let’s flip the PCB back over again and see what’s underneath that bottom-side Faraday cage we earlier glimpsed:

It’s the nonvolatile memory counterpart to the earlier volatile DRAM; a FORESEE FEMDNN008G-08A39 8 GByte eMMC NAND flash memory module. FORESEE is one of the brand names of a Chinese company called Longsys, who had also acquired the Lexar brand from Micron Technology back in 2017. And speaking of “see”, I think that’s all to see today, at least from me. Let me know what I might have overlooked in the comments!

Brian Dipert is the Editor-in-Chief of the Edge AI and Vision Alliance, and a Senior Analyst at BDTI and Editor-in-Chief of InsideDSP, the company’s online newsletter.

Related Content

The post Walmart’s onn. full HD streaming device: Still not thick, just don’t call it a stick appeared first on EDN.

Wise-integration presents SiC 7kW demo board for on-board charger for EVs with WiseWare digital control

Semiconductor today - 7 hours 47 min ago
In booth 450 (Hall 6) at the Power Electronics, Intelligent Motion, Renewable Energy and Energy Management (PCIM 2025) Expo & Conference in Nuremberg, Germany (6–8 May), fabless company Wise-integration of Hyeres, France — which was spun off from CEA-Leti in 2020 and designs and develops digital control for gallium nitride (GaN) and GaN IC-based power supplies — is debuting its digital controller of a silicon carbide (SiC) power demonstrator model. This underscores its expansion into complementary wide-bandgap (WBG) technologies and showcases its WiseWare digital controller’s universality and adaptability across those technologies...

VueReal adds industry-specific reference design kit bundles to accelerate micro-LED commercialization

Semiconductor today - 7 hours 59 min ago
Micro-LED technology firm VueReal Inc of Waterloo, ON, Canada has announced a significant expansion of its reference design kit (RDK) portfolio with new industry-specific bundles. Purpose-built for automotive and consumer electronics, the vertical RDKs are designed to fast-track micro-LED product development and commercialization with unprecedented speed and integration readiness. Debuting in booth 1447 at Display Week 2025 in San Jose, CA, USA, the next-gen bundles validate VueReal’s mission to unlock scalable, sustainable micro-LED adoption across global markets...

Compound semiconductors essential to unlocking the UK’s AI action plan, says CSA Catapult

Semiconductor today - 8 hours 11 min ago
Compound semiconductors will be critical to helping the UK achieve its AI action plan, driving economic growth and significant benefits for society, according to a report by Compound Semiconductor Applications (CSA) Catapult...

Intel ups the advanced packaging ante with EMIB-T

EDN Network - 10 hours 10 min ago

Embedded Multi-die Interconnect Bridge-T (EMIB-T) was a prominent highlight of the Intel Foundry Direct Connect event. Intel is promoting this advanced packaging technology as a key building block for high-speed chiplet designs and has partnered with major EDA and IP houses to accelerate implementations around EMIB-T technology.

As the nomenclature shows, EMIB-T is built around the Embedded Multi-die Interconnect Bridge (EMIB) technology, a high-bandwidth, low-latency, and low-power interconnect for multi-die silicon. EMIB-T stands for EMIB-TSV and it supports high-bandwidth interfaces like HBM4 and Universal Chiplet Interconnect Express (UCIe). In other words, it’s an EMIB implementation that uses the through-silicon via (TSV) technique to send the signal through the bridge with TSVs instead of wrapping the signal around the bridge.

Figure 1 EMIB-T, which adds TSVs to the bridge, can ease the enablement of IP integration from other packaging designs. Source: Intel

Another way to see EMIB-T is the combination of EMIB 2.5D and Foveros 3D packaging technologies for high interconnect densities at die sizes beyond the reticle limit. Foveros is a 3D chip stacking technology that significantly reduces the size of bump pitches, increasing interconnect density.

All three major EDA powerhouses have joined the Intel Foundry Chiplet Alliance Program, which is intrinsically linked to EMIB-T technology. So, all three are working closely with Intel Foundry to develop advanced packaging workflows for EMIB-T. Start with Cadence’s solution, which helps streamline the integration of complex multi-chiplet architectures.

Next, Siemens EDA has announced the certification of a TSV-based reference workflow for EMIB-T. It supports detailed implementations and thermal analysis of the die, EMIB-T and package substrate, signal and power integrity analysis, and package assembly design kit (PADK)-driven verification.

Synopsys is also collaborating with Intel Foundry to develop an EDA workflow for EMIB-T advanced packaging technology using its 3DIC Compiler. In addition to the EDA trio, Intel Foundry has engaged other players for EMIB-T support. For instance, Keysight EDA is working closely with Intel Foundry to bolster the chiplet interoperability ecosystem.

Figure 2 The EMIB-T advanced packaging technology promises power, performance, and area (PPA) advantages for multi-die chiplet designs. Source: Intel

The EMIB-T silicon bridge technology is a major step toward harnessing advanced packaging for the rapidly emerging chiplets world. Intel Foundry Direct Connect highlighted how the Santa Clara, California-based chipmaker sees this advanced packaging technology in its future roadmaps. More technical details about EMIB-T are likely to emerge later in 2025.

Related Content

The post Intel ups the advanced packaging ante with EMIB-T appeared first on EDN.

Infineon expands its GaN power portfolio with EasyPACK CoolGaN power modules for high-voltage applications

ELE Times - 10 hours 15 min ago

With the rapid growth of AI data centers, the increasing adoption of electric vehicles, and the ongoing trends in global digitalization and reindustrialization, global electricity demand is expected to surge. To address this challenge, Infineon Technologies AG is introducing the EasyPACK CoolGaN Transistor 650 V module, adding to its growing GaN power portfolio. Based on the Easy Power Module platform, the module has been specifically developed for high-power applications such as data centers, renewable energy systems, and DC electric vehicle charging stations. It is designed to meet the growing demand for higher performance while providing maximum ease of use, helping customers accelerate their design processes, and shorten time to market.

“The CoolGaN based EasyPACK power modules combine Infineon’s expertise in power semiconductors and power modules,” says Roland Ott, Senior Vice President and Head of the Green Energy Modules and Systems Business Unit at Infineon. “This combination offers customers a solution that meets the increasing demand for high-performance and energy-efficient technologies in applications such as data centers, renewable energy, and EV charging.”

The EasyPACK CoolGaN module integrates 650 V CoolGaN power semiconductors with low parasitic inductances, achieved through compact die packing enabling fast and efficient switching. Delivering up to 70 kW per phase with just a single module, the design supports compact and scalable high-power systems. Furthermore, by combining Infineon’s .XT interconnect technology with CoolGaN options, the module enhances both performance and reliability. The .XT technology is implemented on a high-performance substrate, significantly reducing thermal resistance, which in turn translates to higher system efficiency and lower cooling demands. This results in increased power density and excellent cycling robustness, even under demanding operating conditions. With support for a broad range of topologies and customization options, the EasyPACK CoolGaN module addresses diverse requirements in industrial and energy applications.

The post Infineon expands its GaN power portfolio with EasyPACK CoolGaN power modules for high-voltage applications appeared first on ELE Times.

Vishay Intertechnology 1 Form A Solid-State Relays Offer Continuous Load Current to 5 A in Compact SOP-4 Package

ELE Times - 14 hours 11 min ago

Space-Saving, Industrial-Grade Devices Combine Load Voltages to 60 V With Isolation Voltage of 3750 VRMS and Low Leakage Current of < 1 µA

Vishay Intertechnology, Inc. introduced two new industrial-grade 1 Form A solid-state relays in the surface-mount SOP-4 package. The Vishay Semiconductors VO1401AEFTR and VOR1003M4T combine high continuous load current of 550 mA and 5 A, respectively, with load voltages of 60 V and 30 V, isolation voltage of 3750 VRMS, and low leakage current of < 1 µA typical.

With their high current capability, the devices released are ideal for replacing electromechanical relays, which are susceptible to damaging vibrations, with contactless optical relays that provide robust, vibration-proof switching for higher reliability and a longer service life. In addition, their high isolation voltage allows them to be used in harsh environments.

Offering typical turn-on and turn-off times of 1.3 ms and 0.15 ms for the VO1401AEFTR, and 0.5 ms and 0.1 ms for the VOR1003M4T, the relays will provide fast switching for industrial automation systems and controls; security systems; medical instrumentation; and broadcasting equipment. In these applications, the devices’ compact package saves board space, while their low leakage current translates into higher efficiency by helping to keep the sensitive load on the output side turned off.

The post Vishay Intertechnology 1 Form A Solid-State Relays Offer Continuous Load Current to 5 A in Compact SOP-4 Package appeared first on ELE Times.

Infineon introduces new CoolSET System in Package (SiP) in a compact design for highly efficient power delivery up to 60 W for wide input voltage range

ELE Times - 14 hours 16 min ago

Infineon Technologies AG is launching its new CoolSET System in Package (SiP), a compact, fully integrated system power controller for highly efficient power delivery of up to 60 W at universal input voltage range of 85 – 305 VAC. Housed in a small SMD package, the high-voltage MOSFET with low RDS(ON) eliminates the need for an external heat sink, reducing system size and complexity. The CoolSET SiP supports zero-voltage switching flyback operation, which enables low switching losses and low EMI signature, while also enhancing system reliability and robustness. This makes it an ideal solution for applications such as major home appliances and AI servers. In addition, the controller makes it easier for developers to meet stringent energy standards, supporting future-proof power solutions for modern designs.

The CoolSET SiP integrates a 950 V startup-cell, an 800 V avalanche rugged CoolMOS P7 SJ MOSFET, a ZVS primary flyback controller, a secondary-side synchronous rectification controller, and reinforced isolated communication enabled by Infineon’s proprietary CT Link technology. This high level of integration supports the development of more sophisticated end products by significantly reducing the number of discrete components, lowering the bill of materials, and minimizing PCB space requirements. A comprehensive set of advanced protection features simplifies system integration and allows designers more flexibility to optimize their solutions and enhance the overall user experience.

The post Infineon introduces new CoolSET System in Package (SiP) in a compact design for highly efficient power delivery up to 60 W for wide input voltage range appeared first on ELE Times.

ESG-REBOOT: освіта, інженерія та екологія

Новини - Sat, 05/03/2025 - 22:59
ESG-REBOOT: освіта, інженерія та екологія
Image
kpi сб, 05/03/2025 - 22:59
Текст

У КПІ ім. Ігоря Сікорського відбувся перший ESG-REBOOT: освіта, інженерія та екологія — в єдиній системі відповідальності.

Це перша масштабна подія в межах співпраці з ESG Liga PAEW та Офісом сталих рішень, ініціатором якої став Інженерно-хімічний факультет (ІХФ).

Weekly discussion, complaint, and rant thread

Reddit:Electronics - Sat, 05/03/2025 - 18:00

Open to anything, including discussions, complaints, and rants.

Sub rules do not apply, so don't bother reporting incivility, off-topic, or spam.

Reddit-wide rules do apply.

To see the newest posts, sort the comments by "new" (instead of "best" or "top").

submitted by /u/AutoModerator
[link] [comments]

Single sideband generation, Part 2

EDN Network - Fri, 05/02/2025 - 18:28

The generation of single sideband (SSB) signals first came to my attention via ham radio back in the early 1960s. My call was then and still is WA2IBH. The best phonetic I had for that call sign was “WA2 I’ve Been Had” but that’s merely a side note.

Most voice communication through ham radio back then was done by amplitude modulation or AM signals. When you heard someone on the air with an AM signal, the voice quality was usually pretty good. As I recall, the E.F. Johnson Viking Ranger transmitter was thought of as having the very best audio quality. Of course, when you had many signals on the air at the same time with different carrier frequencies, heterodyne squeals were an unpleasant fact of life which often degraded the intelligibility of the person whom you wanted to hear.

Enter into service, SSB.

To demodulate an SSB signal, a receiver needs to reinsert a carrier signal to replace the carrier signal that the sender is NOT transmitting. The resultant sound is intelligible, but the idea of audio quality is a lost cause. A human voice in a demodulated SSB transmission is difficult to linguistically describe. Perhaps it might be thought of as listening to a cross between Donald Duck and Mickey Mouse. A big improvement, though, is that there are no heterodyne squeals. All you hear from multiple signals coming through at the same time are distorted but intelligible voices. This is a MAJOR improvement. However, the acceptance of SSB in ham radio was not universally enthusiastic.

Short-wave receivers produced up through the 1950s would have automatic gain control (AGC) built in, but the response times of the AGC function were not well suited to SSB service. Modern AGC designs have “fast attack and slow decay,” meaning that the receiver gain is reduced very quickly upon arrival of an overly strong signal and that receiver gain is subsequently restored slowly. Since SSB signals have amplitudes that are “spiky,” meaning high peak amplitude to average amplitude ratios, the AGC circuits of these older receivers could be “pumped” by SSB signals, even if the receiver were not tuned exactly to the SSB signal’s exact frequency. Reception of pretty much anything else could and often was very badly affected. Modern AGC control is much better.

Many non-SSB users confronted by AGC pumping incorrectly assumed that SSB users were guilty of “splatter,” the descriptive term for the spectral spread of an overmodulated (> 100%) AM transmission. Derogatory terms such as “splatter sideband” and “silly sideband” were in common use.

Today, ham radio voice communication is dominated by SSB.

John Dunn is an electronics consultant, and a graduate of The Polytechnic Institute of Brooklyn (BSEE) and of New York University (MSEE).

Related Content

The post Single sideband generation, Part 2 appeared first on EDN.

Infineon adds EasyPACK CoolGaN power modules for high-voltage applications

Semiconductor today - Fri, 05/02/2025 - 18:15
With the rapid growth of AI data centers, the increasing adoption of electric vehicles, and the ongoing trends in global digitalization and reindustrialization, global electricity demand is expected to surge...

Gate driver enables flexible EV inverter design

EDN Network - Fri, 05/02/2025 - 18:06

The STGAP4S galvanically isolated automotive gate driver from ST connects to an external MOSFET-based push-pull buffer to scale gate current capability. This architecture enables control of inverters with varying power ratings, including high-power designs with multiple parallel power switches.

The STGAP4S can deliver gate drive currents in the tens of amperes using small external MOSFETs and handles operating voltages up to 1200 V. It integrates an ADC, a flyback controller, programmable protections, and comprehensive diagnostics. The device is AEC-Q100 and ISO 26262 qualified, supporting system designs up to ASIL D.

Advanced diagnostics in the STGAP4S include self-checks for connections, gate-drive voltages, and internal circuitry such as desaturation and overcurrent detection. Faults are reported via SPI and two diagnostic pins. Protections like active Miller clamping, UVLO, OVLO, desaturation, overcurrent, and over-temperature detection ensure robust designs. Configurable thresholds, deadtime, and deglitch filters—programmable through SPI—enable flexibility while meeting ISO 26262 up to ASIL D.

Now in production, the STGAP4S is available in a SO-36W wide-body DIP, priced from $4.66 each in lots of 1000 units.

STGAP4S product page

STMicroelectronics

The post Gate driver enables flexible EV inverter design appeared first on EDN.

CGD demos ICeGaN in motor drives, data centers and EVs at PCIM

Semiconductor today - Fri, 05/02/2025 - 17:18
In booth 657 (Hall 7) at the Power Electronics, Intelligent Motion, Renewable Energy and Energy Management (PCIM 2025) Expo & Conference in Nuremberg, Germany (6–8 May), fabless firm Cambridge GaN Devices Ltd (CGD) — which was spun out of the University of Cambridge in 2016 to design, develop and commercialize power transistors and ICs that use GaN-on-silicon substrates — is demonstrating how gallium nitride (GaN) technology is delivering improved performance in higher-power applications...

Навчальний практикум-тренінг «Монтаж теплових насосів» у рамках проєкту з GIZ

Новини - Fri, 05/02/2025 - 16:26
Навчальний практикум-тренінг «Монтаж теплових насосів» у рамках проєкту з GIZ
Image
kpi пт, 05/02/2025 - 16:26
Текст

У КПІ ім. Ігоря Сікорського відбувся завершальний день триденного навчального практикуму-тренінгу для тренерів — «Монтаж теплових насосів».

Navitas launches GaNSense Motor Drive ICs for home appliances and industrial drives up to 600W

Semiconductor today - Fri, 05/02/2025 - 15:53
Gallium nitride (GaN) power IC and silicon carbide (SiC) technology firm Navitas Semiconductor Corp of Torrance, CA, USA has announced a new family of GaNSense Motor Drive ICs targeting home appliances and industrial drives up to 600W...

Partstat and WIN Semiconductors forge strategic partnership

Semiconductor today - Fri, 05/02/2025 - 15:45
Supply chain solutions firm Partstat of Winter Springs, FL, USA (which specializes in semiconductor storage and inventory ownership) has announced a strategic partnership with WIN Semiconductors Corp of Taoyuan City, Taiwan — which provides pure-play gallium arsenide (GaAs) and gallium nitride (GaN) wafer foundry services for the wireless, infrastructure and networking markets. The collaboration aims to provide comprehensive long-term storage solutions for semiconductors, including die and wafer banking...

Pages

Subscribe to Кафедра Електронної Інженерії aggregator